uwlogo CS 450/650 - Computer Architecture

Outline
Lecture
Assignments
Verilog
Readings
Tests
Documentation Tools
  • Icarus Verilog
    • This is an open source compiler (iverilog) and simulator (vvp). It can be used with gtkwave to simulate and view waveforms. Both will be installed in the linux.student.cs environment by end of Week 1. Version 10.0 is available from sourceforge. Version 10.2 is available from icarus.com. You need at least version 10.0 to compile System Verilog code. (Debian is only up to version 0.9.7.) Installation instructions for Linux, FreeBSD, MacOS, and Windows are found in the wiki.
    • To compile: "iverilog source1.v source2.v ...". To simulate: "vvp a.out". See the man pages and the wiki for details.
  • GtkWave
    • GtkWave is a waveform viewer and is a useful companion tool when using iverilog. It is available for download on sourceforge .
    • To see the waveform, run gtkwave via a 'ssh -Y' (X-tunneled) connection to linux.student.cs.
    • To generate the wavefile, insert the following into your testbench: initial $dumpvars(0,module_tb); where module_tb is your testbench module name, then compile and simulate as per the instructions above. See the wiki GtkWave page for more details.

Last updated: Mon Jan 1 22:56:09 2018